您好,欢迎进入深圳市颖特新科技有限公司官方网站!

您现在的位置:首页 新闻资讯 >> 新闻头条 >> Vivado Design Suite用户指南之约束的使用第一部分(介绍部分)
新闻资讯
NEWS INFORMATION

Vivado Design Suite用户指南之约束的使用第一部分(介绍部分)

发布时间:2019-05-22

首先来看目录部分:

首先是介绍部分:这部分讲述的是Migrating From UCF Constraints to XDC Constraints(从UCF约束迁移到XDC约束)和About XDC Constraints(讲述的是特点,属性,还有如何输入XDC文件)

这里有一些我需要的部分,就是如何输入XDC文件

下面是英文文档:

You can enter XDC constraints in several ways, at different points in the flow.
• Store the constraints in one or more XDC files.
To load the XDC file in memory, do one of the following:
° Use the read_xdc command.
° Add it to one of your project constraints sets.
XDC files only accept the set, list,and expr built-in Tcl commands. See Appendix A, Supported XDC and SDC Commands for a complete list of supported commands.
• Generate the constraints with an unmanaged Tcl script.
To execute the Tcl script, do one of the following:
° Run the source command.
° Use the read_xdc -unmanaged command.
° Add the Tcl script to one of your project constraints sets.

什么,英文看不懂,别灰心,我也不是那么明白(英语还要练啊),但只要有心,就有办法(只要思想不滑坡,办法总比困难多)

您可以在流程的不同阶段以多种方式输入XDC约束:
(1)将约束保存在一个或多个XDC文件中。
         要在内存中加载XDC文件,请执行以下操作之一:
         1.使用read_xdc命令。
         2.将其添加到您的一个项目约束集中。XDC文件只接受set,list和expr内置Tcl命令。 有关支持的命令的完整列表,请参阅附录A,支持的XDC和SDC命令。

(2)使用非托管Tcl脚本生成约束。
          要执行Tcl脚本,请执行以下操作之一:
          1.运行source命令。
          2.使用read_xdc -unmanaged命令。
          3.将Tcl脚本添加到您的一个项目约束集中。

这部分还有一个提示和两条重要信息:

TIP: Unlike XDC files, unmanaged Tcl scripts can include any common Tcl command for selecting
design objects and defining design constraints, including conditional and looping control structures.
IMPORTANT: The Vivado Design Suite allows you to mix XDC files and Tcl scripts in the same
constraints set. Modified constraints are saved back to their original location only if they originally
came from an XDC file, and not from an unmanaged Tcl script. A constraint generated by a Tcl script is
not managed by the Vivado Design Suite and cannot be interactively modified. For more information,
see Chapter 2, Constraints Methodology.
IMPORTANT: For XDC constraints, there is a difference in behavior between the commands source
and read_xdc. The constraints imported with the source command are not saved in the checkpoint
in the same order as they are imported. The constraints imported with read_xdc are saved first and
then those imported with source. To save all the constraints in the same order as they are applied to
the design, use read_xdc -unmanaged instead of source.

与XDC文件不同,非托管Tcl脚本可以包括用于选择设计对象和定义设计约束的任何常见Tcl命令,包括条件和循环控制结构。

Vivado Design Suite允许您在相同的约束集中混合XDC文件和Tcl脚本。 仅当修改的约束最初来自XDC文件而不是来自非托管Tcl脚本时,它们才会保存回原始位置。 由Tcl脚本生成的约束不由Vivado Design Suite管理,无法进行交互式修改。 有关更多信息,请参阅第2章,约束方法。

对于XDC约束,命令source和read_xdc之间的行为存在差异。 使用source命令导入的约束不会以与导入时相同的顺序保存在检查点中。 首先保存使用read_xdc导入的约束,然后保存使用source导入的约束。 要以与应用于设计相同的顺序保存所有约束,请使用read_xdc -unmanaged而不是source。

要在将设计加载到内存后验证特定约束的语法或影响,请使用Tcl控制台和Vivado Design Suite报告功能。 这对于分析和调试时序约束和物理约束特别有用

这部分是不是感觉有些乱,这跟中国与外国的阅读习惯是有一定关系的,还有一些概念比较晦涩难懂,这又给理解增加了一些困难,不过没关系,如果第一遍不懂,就看第二遍,第二遍不懂,就看第三遍,谁说未必能解决根本问题,但无法否认的是,你对它加深了认识。

 

在文档里还有一些不支持的SDC命令,那就可以不用管了,反正我暂时也用不上。

 

联系方式0755-82591179

传真:0755-82591176

邮箱:vicky@yingtexin.net

地址:深圳市龙华区民治街道民治大道973万众润丰创业园A栋2楼A08